Lar Visão de futuro Explore os destaques da conferência de circuitos de estado sólido (isscc)

Explore os destaques da conferência de circuitos de estado sólido (isscc)

Índice:

Vídeo: Basic AK Course Disc 2 | Chiropractic Kinesiology (Outubro 2024)

Vídeo: Basic AK Course Disc 2 | Chiropractic Kinesiology (Outubro 2024)
Anonim

Ultimamente, ouvimos muito sobre a desaceleração da Lei de Moore e, embora isso pareça verdade em alguns casos, em outras partes do setor de semicondutores, há um progresso contínuo. Na Conferência Internacional de Circuitos de Estado Sólido (ISSCC) da semana passada, as grandes tendências de chips pareciam implantar novos materiais, novas técnicas e novas idéias para continuar aumentando a densidade do transistor e melhorando a eficiência energética. Claro, isso não é novidade. Vimos isso refletido nas conversas sobre a produção de chips lógicos em novos processos de 7 nm, na criação de chips NAND 3D de 512 Gb e em uma variedade de novos processadores.

Os projetistas de chips estão considerando novas estruturas e materiais para transistores, conforme mostrado no slide acima do TSMC. Também houve muitas discussões sobre novas ferramentas para a fabricação dos transistores, incluindo avanços na litografia, como EUV e auto-montagem direcionada, e novas formas de empacotar várias morrerem juntas.

Antes de me aprofundar nos detalhes, continua sendo incrível para mim o quão longe a indústria de chips chegou e o quão difundidos os chips se tornaram em nossas vidas diárias. O CTO da Texas Instruments, Ahmad Bahai, observou em sua apresentação que, em 2015, o setor vendeu uma média de 109 chips para cada pessoa no planeta. Sua palestra se concentrou em como, em vez de mercados dominados por um único aplicativo - primeiro PCs, depois celulares - o setor agora precisa se concentrar mais em "tornar tudo mais inteligente", à medida que diferentes tipos de chips chegam a um grande número de aplicativos.

A indústria enfrenta grandes desafios, no entanto. O número de empresas que podem se dar ao luxo de construir fábricas de fabricação de lógica de ponta diminuiu de vinte e duas no nó de 130nm para apenas quatro empresas atualmente no nó de 16 / 14nm (Intel, Samsung, TSMC e GlobalFoundries), com novo processo tecnologia custando bilhões para se desenvolver e novas plantas custando ainda mais. De fato, na semana passada, a Intel disse que gastaria US $ 7 bilhões para desenvolver 7nm em uma estrutura fabricada há alguns anos no Arizona.

Ainda assim, houve várias apresentações sobre os planos de várias empresas para mudar para processos de 10nm e 7nm.

A TSMC lançou seu processo de 10 nm e o primeiro chip anunciado foi o Qualcomm Snapdragon 835, que será lançado em breve. O TSMC pode ser o mais distante possível de comercializar o que chama de processo de 7 nm e, na ISSCC, descreveu um chip de teste funcional de SRAM de 7 nm. Isso usará o conceito de transistor FinFET, agora padrão, mas com algumas o circuito técnicas para fazê-lo funcionar de maneira confiável e eficiente em um tamanho menor. Notavelmente, a TSMC diz que produzirá a primeira versão de seus chips de 7 nm usando litografia de imersão, em vez de esperar pelo EUV como a maioria de seus concorrentes.

Lembre-se de que o que cada um dos principais fabricantes chama 7nm varia tremendamente; portanto, em termos de densidade, é possível que o processo TSMC 7nm seja semelhante ao próximo processo de 10nm da Intel.

A Samsung também está trabalhando em 7nm, e a empresa deixou claro que planeja esperar pelo EUV. No show, a Samsung falou sobre as vantagens da litografia EUV, bem como o progresso que fez no uso da tecnologia.

3D NAND

Alguns dos anúncios mais interessantes cobriram o flash NAND 3D de 512 Gb e mostraram a rapidez com que a densidade do flash NAND está crescendo.

A Western Digital (que adquiriu a SanDisk) falou sobre um dispositivo flash NAND 3D de 512 Gb que anunciou antes do show e explicou como esse dispositivo continua a aumentar a densidade desses chips.

Esse chip em particular usa 64 camadas de células de memória e três bits por célula para atingir 512 Gb em uma matriz que mede 132 milímetros quadrados. Não é tão denso quanto o design Micron / Intel 3D NAND da Intel, que usa uma arquitetura diferente com o circuito periférico sob o array (CuA) para atingir 768Gb em uma matriz de 179 milímetros quadrados, mas é um bom passo à frente. A WD e a Toshiba disseram que foram capazes de melhorar a confiabilidade e acelerar o tempo de leitura em 20% e alcançar velocidades de transferência de gravação de 55 Megabytes por segundo (MBps). Isso ocorre na produção piloto e deve estar em produção em volume no segundo semestre de 2017.

Para não ficar atrás, a Samsung exibiu seu novo chip NAND 3D de 512Gb de 64 camadas, um ano depois de mostrar um dispositivo de 256Gb de 48 camadas. A empresa fez questão de demonstrar que, embora a densidade de área do flash NAND 2D cresça 26% ao ano de 2011 a 2016, ela foi capaz de aumentar a densidade de área do flash NAND 3D em 50% ao ano desde a sua introdução, três anos depois. atrás.

O chip de 512Gb da Samsung, que também usa a tecnologia de três bits por célula, tem um tamanho de matriz de 128, 5 milímetros quadrados, tornando-o um pouco mais denso que o design WD / Toshiba, embora não seja tão bom quanto o design Micron / Intel. A Samsung passou grande parte de sua conversa descrevendo como o uso de camadas mais finas apresentou desafios e como criou novas técnicas para enfrentar os desafios de confiabilidade e energia criados com o uso dessas camadas mais finas. Ele disse que o tempo de leitura é de 60 microssegundos (leituras seqüenciais de 149 MBps) e a taxa de transferência de gravação é de 51 MBps.

Está claro que todos os três grandes campos de flash da NAND estão fazendo um bom processo, e o resultado deve ser uma memória mais densa e, eventualmente, menos cara de todos eles.

Novas conexões

Um dos tópicos que achei mais interessantes recentemente é o conceito de uma ponte de interconexão multi-matriz incorporada (EMIB), uma alternativa a outras chamadas tecnologias 2.5D que combinam múltiplas morrer em um único pacote de chip que é mais barato porque não requer um interposer de silício ou vias de silício. Na feira, a Intel falou sobre isso ao descrever um FPGA de 14 nm e 1 GHz que terá um tamanho de matriz de 560 mm 2 cercado por seis transceptores de matriz de 20 nm que são fabricados separadamente, mesmo possivelmente em outras tecnologias. (Presumivelmente, esse é o SoC Stratix 10). Mas ficou mais interessante no final da semana, pois a Intel descreveu como usaria essa técnica para criar chips de servidor Xeon a 7 nm e a terceira geração de 10 nm.

Processadores na ISSCC

A ISSCC viu vários anúncios sobre novos processadores, mas, em vez de anúncios sobre chips, o foco estava na tecnologia que realmente faz com que os chips funcionem da melhor maneira possível. Eu estava interessado em ver novos detalhes de vários chips altamente esperados.

Estou esperando que os novos chips Ryzen, que usam a nova arquitetura ZEN da AMD, sejam entregues em breve, e a AMD deu muito mais detalhes técnicos sobre o design do núcleo Zen e os vários caches.

Este é um chip FinFET de 14 nm, baseado em um design básico que consiste em um complexo de núcleos com 4 núcleos, um cache de 2 MB de nível 2 e 8 MB de cache associativo de nível 3 de 16 vias. A empresa diz que a frequência básica de um processador de 8 núcleos, 16 fios A versão será de 3, 4 GHz ou superior e disse que o chip oferece uma melhoria superior a 40% nas instruções por ciclo (IPC) do que o projeto anterior da AMD.

O resultado é um novo núcleo que a AMD afirma é mais eficiente que o atual design de 14nm da Intel, é claro que teremos que esperar pelos chips finais para ver o desempenho real.

Como descrito anteriormente, ele estará disponível inicialmente em chips de desktop conhecidos como Summit Ridge e deve sair dentro de semanas. Uma versão para servidor conhecida como Nápoles será lançada no segundo trimestre e uma APU com gráficos integrados principalmente para laptops deverá aparecer ainda este ano.

A IBM deu mais detalhes sobre os chips Power9 que estreou no Hot Chips, projetados para servidores de ponta, e agora descritos como "otimizados para computação cognitiva". Esses são chips de 14nm que estarão disponíveis nas versões para expansão (com 24 núcleos que podem lidar com 4 threads simultâneos) ou expansão (com 12 núcleos que podem lidar com 8 threads simultâneos). Os chips suportam o CAPI (processador de acelerador coerente) Interface) incluindo CAPI 2.0 usando links PCIe Gen 4 a 16 gigabits por segundo (Gbps); e OpenCAPI 3.0, projetado para funcionar em até 25Gbps. Além disso, ele funcionará com o NVLink 2.0 para conexões com os aceleradores de GPU da Nvidia.

A MediaTek deu uma visão geral do seu próximo Helio X30, um processador móvel de 10 GHz e 10 GHz, notável por ser o primeiro a ser produzido em um processo de 10 nm (presumivelmente na TSMC).

Isso é interessante porque possui três complexos principais: o primeiro possui dois núcleos ARM Cortex-A73 rodando a 2, 8 GHz, projetados para lidar com tarefas pesadas rapidamente; o segundo possui quatro núcleos A53 de 2, 5 GHz, projetados para as tarefas mais comuns; e o terceiro possui quatro núcleos A35 de 2.0GHz, usados ​​quando o telefone está ocioso ou para tarefas muito leves. A MediaTek diz que o cluster A53 de baixa potência é 40% mais eficiente em termos de energia do que o cluster A73 de alta potência, e que o cluster A35 de ultra baixa potência é 44% mais eficiente em termos de energia que o cluster de baixa potência.

Na feira, havia muitos trabalhos acadêmicos sobre tópicos como chips especialmente projetados para aprendizado de máquina. Tenho certeza de que veremos muito mais ênfase nisso daqui para frente, de GPUs a processadores passivamente paralelos projetados para lidar com computação de 8 bits, a chips neuromórficos e ASICs personalizados. É um campo nascente, mas que está recebendo uma quantidade incrível de atenção no momento.

Ainda mais longe, o maior desafio pode ser a computação quântica, que é uma maneira totalmente diferente de se fazer computação. Enquanto estamos vendo mais investimentos, ainda parece estar longe de se tornar uma tecnologia convencional.

Enquanto isso, entretanto, podemos esperar muitos novos chips legais.

Michael J. Miller é diretor de informações da Ziff Brothers Investments, uma empresa de investimentos privados. Miller, editor-chefe da PC Magazine de 1991 a 2005, escreve este blog para o PCMag.com para compartilhar seus pensamentos sobre produtos relacionados ao PC. Este blog não oferece dicas de investimento. Todos os direitos são recusados. Miller trabalha separadamente para uma empresa de investimento privado que pode a qualquer momento investir em empresas cujos produtos são discutidos neste blog, e nenhuma divulgação de transações com valores mobiliários será feita.

Explore os destaques da conferência de circuitos de estado sólido (isscc)