Lar Visão de futuro As bolachas de 450 mm são o futuro da fabricação de chips?

As bolachas de 450 mm são o futuro da fabricação de chips?

Vídeo: BISCOITOS DE NATAL DECORADOS | BOLOS BAFÔNICOS (Outubro 2024)

Vídeo: BISCOITOS DE NATAL DECORADOS | BOLOS BAFÔNICOS (Outubro 2024)
Anonim

Por trás de todos os novos gadgets e aplicativos interessantes que rodamos, estão os processadores, a memória e outros componentes que fazem o sistema funcionar. E por trás de tudo isso está a tecnologia de processo de semicondutores - a complexa variedade de projetos, ferramentas, materiais e etapas de processamento necessários para criar transistores de trabalho tão pequenos que 4.000 deles poderiam se encaixar na largura de um cabelo humano e montar bilhões deles em um chip não maior que sua unha.

Com base no Semicon West da semana passada, o programa anual que se concentra na tecnologia de processo, em oposição aos processadores ou aos dispositivos do usuário final, parece que toda a indústria está pronta para mudar a nova produção para as bolachas de 450 mm, a partir dos próximos cinco anos.

Hoje, praticamente todos os importantes processadores e memória são fabricados em bolachas de 300 mm, com cerca de 30 cm de diâmetro. Mas os maiores fabricantes de chips vêm conversando há anos sobre a mudança para a tecnologia de wafer de 450 mm - bolachas com cerca de 18 polegadas de diâmetro - porque essas bolachas maiores podem conter mais que o dobro do número de chips, mas esperamos que custem significativamente menos que o dobro da fabricação de 300 mm. Até recentemente, muitos fornecedores de equipamentos vinham se arrastando porque a última grande mudança de 200mm para 300mm acabou custando muito para pesquisa e desenvolvimento, com relativamente pouco para mostrar. Mas agora, ao que parece, quase todo mundo está adotando a ideia.

Na conferência, Paul A. Farrar, gerente geral do Global 450 Consortium, um grupo das principais empresas de manufatura de semicondutores, incluindo GlobalFoundries, Intel, IBM, Samsung e TSMC, com sede na Faculdade de Ciências e Engenharia em Nanoescala em Albany, mostrou uma roteiro que incluiu demonstrações de 450 mm em 14 nm em 2013 a 2015 com o equipamento pronto para fabricantes de chips em 10 nm e além em 2015 a 2016.

Todos os grandes fabricantes estavam discutindo ferramentas de 450 mm. A Nikon disse que recebeu um pedido do Consórcio G450 para um scanner de imersão ArF de 450 mm de 193 nm para ser usado no desenvolvimento de processos, e disse que também recebeu um pedido de um "principal fabricante de dispositivos" não identificado. A ASML disse que enviará litografia ultravioleta extrema (EUV) e ferramentas de imersão de 450 mm ao mesmo tempo. A Canon mostrou o que disse ser a primeira bolacha de 450 mm com padrão óptico, enquanto a Molecular Imprints mostrou resultados para uma bolacha de 450 mm com sua litografia nano-impressa.

Uma coisa que parece estar impulsionando essa transição é o aumento do custo de fabricação em nós menores. Embora a indústria fale sobre litografia EUV há anos e a ASML em particular cite melhorias, isso ainda não está pronto para produção, pois as ferramentas atuais não permitem a velocidade e o volume exigidos pelos fabricantes, em parte devido a problemas com a fonte de energia. A ASML diz que agora possui 11 sistemas EUV em campo e planeja uma nova geração de ferramentas com melhores fontes de energia, mas ninguém está fabricando em escala real com EUV porque as ferramentas não são rápidas e confiáveis ​​o suficiente.

Em vez disso, os fabricantes estão usando as atuais ferramentas de imersão de 193 nm e, a 20 nm e abaixo, são forçados a usar as ferramentas duas vezes em camadas críticas da pastilha para obter a precisão de que precisam. Esse padrão duplo - e potencialmente quad-padrão - adiciona tempo e despesa à fabricação de wafer.

Como o CEO da GlobalFoundries, Ajit Manocha, observou em uma palestra, o custo da litografia já está começando a dominar os custos totais de fabricação de wafer. Com o multipadrão nos scanners de imersão, isso fica ainda pior. "Precisamos desesperadamente de EUV e EUV ainda não está pronto", disse ele.

Em outras áreas, Manocha falou sobre a necessidade de inovação de fundição na era da mobilidade, discutindo tudo, desde o processo 14XM FinFET da empresa até outras técnicas como FD-SOI, nanofios e semicondutores compostos III-V (essencialmente chips que usam materiais mais exóticos) Curiosamente, ele mencionou uma possível mudança para os FinFETs III-V em 2017 por 7nm, embora não parecesse um compromisso específico.

Ele disse que os maiores desafios que o setor enfrenta são econômicos. No nó de 180 nm, havia apenas 15 camadas de máscara; nos nós de 20nm / 14nm, existem mais de 60 camadas de máscara e cada camada oferece mais oportunidades de falha, qualquer uma das quais pode inutilizar uma bolacha inteira. "Tudo isso realmente se soma", disse ele, mostrando como o custo do design de chips a 130 nm (que era comum na vanguarda há uma década e ainda é usado por alguns chips de última geração) era de US $ 15 milhões; em 20nm, são US $ 150 milhões. Da mesma forma, o custo do projeto do processo aumentou de US $ 250 milhões para US $ 1, 3 bilhão, e a fábrica para fabricar o chip aumentou de US $ 1, 45 bilhão para cerca de US $ 6, 7 bilhões hoje.

Para combater isso, outros fornecedores de ferramentas estão falando sobre técnicas além da litografia, como empilhamento de chips com vias de silício (TSVs) projetadas para produzir várias camadas de chips; e novas ferramentas para deposição e remoção de materiais. Empresas como Applied Materials, LAM Research, Tokyo Electron e KLA-Tencor estão pressionando suas soluções.

Em outras notícias do programa, Karen Savala, presidente da SEMI Americas, falou sobre o "renascimento" da manufatura nos EUA e o papel da indústria de semicondutores, dizendo que a indústria agora responde por 245.000 empregos diretos e cerca de um milhão de empregos totais no setor. Cadeia de suprimentos dos EUA.

A SEMI espera que os gastos com equipamentos caiam um pouco este ano, seguidos de um aumento de 21% no próximo ano, devido principalmente aos gastos contínuos de fundição para fabricação de 20nm, novas instalações de fabricação de flash NAND em alta e atualização da Intel de sua fábrica na Irlanda.

As bolachas de 450 mm são o futuro da fabricação de chips?