Lar Visão de futuro As promessas e os desafios da euv na globalfoundries

As promessas e os desafios da euv na globalfoundries

Índice:

Vídeo: Made In America: GLOBALFOUNDRIES (Outubro 2024)

Vídeo: Made In America: GLOBALFOUNDRIES (Outubro 2024)
Anonim

Uma das razões pelas quais fiquei tão intrigado em visitar a GlobalFoundries no início deste mês foi a oportunidade de ver uma máquina de litografia EUV em funcionamento e ouvir sobre como a empresa planeja usá-la.

Há pouco tempo, tive a chance de visitar uma fábrica em Connecticut, onde a ASML constrói muitos componentes para uma máquina EUV. Essas ferramentas enormes usam luz ultravioleta extrema (EUV) brilhando através de uma máscara para delinear as linhas para recursos muito pequenos de chips e são algumas das máquinas mais complexas do mundo. Eles foram projetados para substituir as agora litográficas máquinas de imersão padrão que usam luz com um comprimento de onda de 193 nm em algumas camadas do processo de fabricação de cavacos.

Para recapitular, uma máquina EUV é incrivelmente complicada. Como explicou George Gomba, vice-presidente de pesquisa de tecnologia da GlobalFoundries, o processo começa com um laser de CO2 de 27 quilowatts que é disparado através de um sistema de transporte de feixes e focando pequenas gotículas de estanho (cerca de 20 mícrons de diâmetro) produzidas por um gerador de gotículas em um vaso de plasma. O primeiro pulso aplaina a gota e o segundo a vaporiza, criando plasma produzido a laser (LPP). Os fótons EUV emitidos a partir do plasma são coletados por um espelho especial que reflete a luz do comprimento de onda de 13, 5 nm e a radiação é transmitida para um ponto de foco intermediário, onde entra no scanner e é projetada através de uma máscara na pastilha de silicone. Gomba, que trabalha nas instalações da Albany Nanotech, disse que trabalha com sistemas EUV de pré-produção desde 2013 e agora espera que o EUV esteja em plena produção na GlobalFoundries até o segundo semestre de 2019.

Essas ferramentas são tão complexas que exigem meses de trabalho apenas para prepará-las para o início da produção. No Fab 8 da empresa em Malta, Nova York, vi as duas primeiras ferramentas EUV instaladas; um está quase completo e o outro está em processo de produção, e ainda há espaço para mais dois.

Obter as ferramentas EUV no próprio prédio foi uma operação complexa. A fábrica principal foi selada primeiro; depois, um guindaste foi instalado no teto e um buraco foi aberto na lateral do prédio para mover o novo sistema maciço para dentro. Então, é claro, tinha que ser conectado às outras ferramentas da fábrica. Isso envolveu trabalho tanto na sub-fábrica, que precisou ser configurada para a ferramenta de origem que cria o laser usado no processo, quanto na própria sala limpa. Tudo tinha que ser feito, mantendo o resto da fábrica funcionando a toda velocidade.

Tom Caulfield, vice-presidente sênior e gerente geral da Fab 8, comparou isso a "fazer cirurgia cardíaca durante uma maratona".

O status do EUV - e o que ainda precisa ser resolvido

Gary Patton, CTO e vice-presidente sênior de P&D mundial da GlobalFoundries, disse que 7nm estarão em produção de risco na Fab 8 deste ano e em produção total no próximo ano, usando litografia de imersão e padronização de quad, mas não EUV. A padronização múltipla leva mais tempo porque envolve mais etapas, e podem surgir problemas devido ao alinhamento muito preciso necessário a cada etapa, mas essas ferramentas litográficas são comuns, bem compreendidas e prontas hoje. O plano é oferecer posteriormente uma versão do processo de 7 nm usando as novas ferramentas EUV.

O EUV "não está pronto hoje", disse Patton, citando problemas com a fonte de energia, materiais resistentes e máscaras, particularmente com o desenvolvimento da película adequada (uma película fina que passa por cima da máscara ou do retículo).

Atualmente, as máquinas EUV não são tão rápidas, com um engenheiro explicando que elas podem produzir cerca de 125 bolachas por hora, em comparação com cerca de 275 bolachas por hora para litografia de imersão. Na verdade, eles podem economizar tempo, porque, se o processo reduzir o número de passes para o padrão múltiplo, ele não apenas salva as etapas na litografia, mas também na gravação e preparação. Portanto, o EUV deve custar menos para ser executado quando estiver pronto, disse Caulfield.

Gomba observou que a idéia não é apenas reduzir 3 ou 4 camadas de litografia óptica, mas também reduzir muitas outras etapas, porque entre cada etapa da litografia, há também gravura e outro processamento na bolacha. O objetivo, disse Gomba, é reduzir o tempo do ciclo em até 30 dias.

O ponto de cruzamento provavelmente é o padrão quad, mas depende muito do rendimento (que deve ser melhorado, pois as etapas da litografia EUV devem ter menos variabilidade do que as etapas múltiplas da litografia de imersão) e das melhorias no tempo do ciclo. O EUV também deve permitir que os projetistas de chips operem sob condições muito menos restritivas.

Mas ele também observou que ainda há alguns problemas a serem resolvidos, principalmente quando se trata da película. Outro engenheiro explicou que a radiação de 13, 5 nm usada pelo EUV é absorvida por quase tudo, então o interior da máquina precisa ser um vácuo. Com o EUV, grande parte da energia não passa pelo retículo (máscara), mas o aquece. A película ajuda a proteger a máscara, mas ainda é necessário trabalhar para melhorar a quantidade de luz que passa pela película (transmissão), bem como a longevidade da película. Por sua vez, isso afetará a taxa de transferência, bem como a longevidade das máscaras e o tempo de atividade de toda a máquina.

Como resultado, disse Patton, a empresa oferecerá inicialmente um encolhimento de 7 nm com o EUV, que será usado principalmente para contatos e vias. Isso por si só pode fornecer um aumento de 10 a 15% na densidade sem um grande investimento em design. Quando os problemas são resolvidos, disse Patton, o EUV pode e será usado em muitas outras camadas. (Joel Hruska, da ExtremeTech , que também esteve na turnê, tem mais detalhes aqui.)

Patton observou que a ASML deve receber "um tremendo crédito" por pressionar o EUV até onde for, e disse que é um "feito incrível de engenharia". Quando perguntado se a GlobalFoundries está realmente comprometida com a EUV, Caulfield respondeu que a empresa fez um investimento de US $ 600 milhões, o que significa "precisa fazer isso".

FDX e o roteiro para futuras fabricação de chips

Em uma ampla discussão sobre o rumo da fabricação de chips, Patton - que passou uma longa carreira trabalhando em tecnologia de chips para a IBM - explicou como o conceito está mudando quando chegamos ao fim da Lei de Moore. Ele observou que, nos primeiros anos de fabricação de chips, tratava-se de escala planar do CMOS de silício. Então, de 2000 a 2010, o foco voltou-se para novos materiais; agora, grande parte do foco está nos transistores 3D (os FinFETs usados ​​na maioria dos processos de ponta atualmente) e no empilhamento 3D.

Até 2020, disse ele, atingiremos os limites das dimensões atômicas, portanto precisaremos nos concentrar em outras maneiras de inovar, incluindo novas maneiras de projetar transistores (como nanofios substituindo FinFETs), novos tipos de substratos (como o Fully Tecnologia esgotada de silício sobre isolador que a GlobalFoundries está desenvolvendo); ou novos níveis de integração no nível do sistema (como empacotamento avançado, fotônica de silício e memória incorporada).

A GlobalFoundries tem dois roteiros em que está trabalhando, disse Patton. O primeiro é baseado na atual tecnologia FinFET e foi projetado para dispositivos de alto desempenho. Na GlobalFoundries, isso significa passar do processo atual de 14nm para uma revisão do processo que está chamando de 12nm e, posteriormente, este ano, para o que chama de 7nm. Patton disse que isso deve ser mais adequado para processadores de aplicativos móveis e CPUs de alto desempenho e GPUS, com a GlobalFoundries prometendo uma melhoria de até 40% no desempenho do dispositivo e uma redução de 60% na potência total em comparação com o processo de 14nm. Igualmente atraente, ele deve reduzir os custos das matrizes em cerca de 30% a 45% em relação à geração anterior.

Nesta parte do roteiro, a GlobalFoundries segue um caminho semelhante em comparação com os roteiros das fábricas concorrentes, como TSMC ou Samsung.

Mas para outras aplicações, a empresa está se concentrando no que chama de FDX, sua marca de tecnologia de silício sobre isolador totalmente empobrecida. Essa é uma tecnologia planar, o que significa que não usa transistores 3D, e Patton disse que fornece uma solução mais econômica para processadores móveis de baixo e médio nível, além de processadores para a Internet das Coisas e muitos setores automotivos. formulários. Enquanto algumas das pesquisas estão acontecendo em Malta, o processo FDX é organizado principalmente em Dresden, na Alemanha. O trabalho atual desse processo é o que a GlobalFoundries chama de nó de 22nm FDX; isso está previsto para passar a um processo de 12 nm no próximo ano.

Caulfield observou que "uma redução não é suficiente" e que, para ir para o próximo nó, a GlobalFoundries também precisa oferecer mais desempenho e agregar valor real aos clientes. Ele observou que a empresa pulou 20nm e o que outros chamam de 10nm para focar em 7nm e disse que esse nó oferece uma redução direta de custos de 30 a 45% em comparação com 14nm, compensado pela necessidade de mais máscaras para as etapas adicionais exigidas pelas padronização.

Caulfield observou que mais da metade das receitas da empresa permanecem em nós de processos mais antigos, como 28 e 40nm. A fábrica da empresa em Cingapura está focada em processos de 40 nm e mais antigos, e Dresden fabrica em 22 nm e mais. Enquanto isso, tudo em Malta é focado em processos de 14nm e mais recentes.

Com 7nm, disse Caulfield, a empresa quer ser uma "seguidora rápida", enquanto na FDX, ela quer ser um fator "perturbador" no mercado.

Patton observou que a GlobalFoundries mostrou um chip de teste de 7 nm em 2015, que foi desenvolvido com os parceiros IBM e o Albany NanoTech Complex. Na 5nm, a empresa falou sobre nanopartículas ou transistores de uso geral e um foco na comunicação intra-módulo usando embalagens de chips 2.5D e 3D em interposers de silicone para conectar diferentes cubos de matriz e memória híbrida. Com seus parceiros, ele demonstrou um chip de teste de 5 nm no ano passado.

Durante anos, fiquei impressionado com o quanto a indústria de chips conseguiu melhorar. É difícil pensar em outro setor que se moveu tão longe e tão rápido - e o trabalho de fabricantes de ferramentas como ASML e fabs como GlobalFoundries é simplesmente incrível. Os desafios que eles enfrentam para obter chips ainda mais rápidos e projetos mais densos são cada vez mais difíceis, mas minha visita me lembrou a complexidade dos processos de ponta envolvidos e o progresso que continuamos a ver.

Qual a probabilidade de você recomendar o PCMag.com?
As promessas e os desafios da euv na globalfoundries